[#1] CPLD 5V - jakie opcje?
Jako, że zamierzam się do rozbudowy mojej A500 (ot taka dłubanina), chciałbym także zmierzyć się z zaimplementowaniem części logiki w CPLD.

Obecnie, z tego co zauważyłem, najpopularniejsze wydają się być układy XC95xx. Ale też jest kilka innych opcji 5V CPLD, takich jak: ATF1504AS (ATMEGA), czy MAX II EPM240 (ALTERA).

Czy ktoś z Was testował/rozważał te pozostałe? Jakie wady/zalety?
[#2] Re: CPLD 5V - jakie opcje?

@drsky, post #1

Chyba mało kto wchodzi w taką egzotykę, jeśli pod ręka ma układy tanie i łatwo dostępne XC95xxXL.

Wadą twoich propozycji może być jedynie cena i dostępność.
[#3] Re: CPLD 5V - jakie opcje?

@drsky, post #1

Temat nie jest taki prosty, jakby się wydawało z pozoru. XC95xx tolerują 5V na wejściach, ale są zasilane z 3.3V i takie też napięcie może być na wyjściu. Do wysterowania logiki TTL wystarczy, ale CMOS 5V już będzie problematyczny. ATF Atmela są 5V, ale po pierwsze są trudnodostępne, a po drugie narzędzia do tworzenia wsadów pozostawiają wiele do życzenia i nie mogą się równać z ich odpowiednikami z Altery czy Xilinxa, czy nawet Lattice.
Rozsądnym kompromisem byłoby użycie starszych wersji np. Altery EPM7xxx, która jest całkowicie 5-woltowa, ale niestety droga. MAX II nie tolerują 5V na wejściu (poza dużymi układami np. EPM1270), więc musisz uważać przy projektowaniu układu, żeby napięcie na pinie wejściowym nie przekroczyło 3.3V - użycie translatorów napięć jest najrozsądniejsze, ale czasem nie ma na to miejsca więc kombinacje rezystorów, diod itp.
[#4] Re: CPLD 5V - jakie opcje?

@Duddie, post #3

W Amidze CMOSowe zdarzały się kickstarty, reszta to TTLe, Jens wszystkie swoje karty robi na XC95xxXL + ewentualnie FPGA.

Ostatnia aktualizacja: 25.06.2015 14:19:43 przez sanjyuubi
[#5] Re: CPLD 5V - jakie opcje?

@drsky, post #1

Mam dostęp do Cypress CY37032P44-125AXC tyle tylko, że środowiska nawet na oczy nie widziałem i nie mam pojęcia czym by to można zaprogramować (oprócz datamana).
[#6] Re: CPLD 5V - jakie opcje?

@sanjyuubi, post #4

sanjyuubi, jesteś pewien, że jedynie Kickstarty? Bo jestem przekonany, że chipsety są CMOS, tak samo CPU. Ja nie napisałem, że się nie da zrobić na powyższych układach, a jedynie to, że należy zwrócić uwagę na poziomy napięć, co na pewno Jens czyni. Tym bardziej, że żaden FPGA nie toleruje napięć 5V na wejściu. Dla części nawet 3.3V to za dużo...
[#7] Re: CPLD 5V - jakie opcje?

@Duddie, post #6

W Indivision ECS XC9572XL pełni rolę konwertera napięć dla FPGA i jakichś innych logicznych funkcji . Ja używałem XC95144XL w A600 do podłączenia 68EC020, XC9572XL do pamięci FAST w A600 oraz A500 i CDTV, nie używałem konwerterów i nie miałem problemów, procesor nie wiem czy jest CMOSowy (68HC000 który się nie grzeje ponoć jest).

Ostatnia aktualizacja: 25.06.2015 17:10:27 przez sanjyuubi
[#8] Re: CPLD 5V - jakie opcje?

@Duddie, post #6

Układy CMOS mogą mieć wyjścia zgodne z TTL (np. układy z oznaczeniem ABT), nie wiem jak to dokładnie jest w Amidze, ale np. autokonfiguracja odczytywana z CPLD jest poprawnie pomimo stanu 3.3V jako wysoki.
[#9] Re: CPLD 5V - jakie opcje?

@sanjyuubi, post #8

Układy CMOS nie mogą, ale MAJĄ wyjścia (nie wejścia!) zgodne z TTL, ja pisałem o wejściach CMOS wysterowywanych przez CPLD 3.3V. A tu już margines jest inny, nie oznacza to, że nie będzie wcale działać, ale może działać niestabilnie. Nie zamierzałem wywoływać dyskusji o sprawach oczywistych. Jedynie chciałem zaznaczyć, że jest to błąd w sztuce projektanta układów cyfrowych, mogący prowadzić do dziwnych zachowań układu. Ale jak to w życiu bywa, teoria (marginesy stanu wysokiego i niskiego) i praktyka często są inne.
[#10] Re: CPLD 5V - jakie opcje?

@Duddie, post #9

68000 to HMOS, wersje CMOS miały HC i EC w środku oznaczenia i nie grzały się tak jak zwykła 68000. Nie zdziwiłbym się, gdyby reszta układów też była w HMOS, ponieważ dość mocno się grzeją.


Manual MC68000FN8 tabela 10.6, rozdział 10-7 mówi, że minimalne napięcie wejściowe stanu wysokiego to 2V, więc jest jeszcze sporo zapasu do 3.3V.




Ostatnia aktualizacja: 26.06.2015 21:10:20 przez sanjyuubi
[#11] Re: CPLD 5V - jakie opcje?

@sanjyuubi, post #10

Mam wrażenie, że nie wiesz o czym piszesz i nie bardzo rozumiesz zagadnienie... HMOS = High Speed CMOS. Poziomy napięć wejściowych dla CMOS dla stanu wysokiego czyli H zaczynają się od 3.5V - według standardu. Oczywiście znakomita większość układów ma ten margines szerszy, czyli np. zacznie działać od 2.9~3.0V, wykrywając stan H na wejściu. Czyli układ 3.3V może w praktyce wysterować CMOSa poprawnie, ale nie jest to poprawnie zaprojektowany układ. Poza tym, nawet jeśli układ jest zasilany napięciem 3.3V to nigdy na wyjściu nie osiągnie takiego napięcia, będzie ono pomniejszone o np. spadek na złączach półprzewodników itp. Więc zapas 3.3V jest abstrakcyjny. Jeśli chcesz, pomiń zagadnienia o których napisałem. Możliwe, że zadziała. Ale jest to loteria.
[#12] Re: CPLD 5V - jakie opcje?

@Duddie, post #11

Możliwe, że nie wiem do końca, ale datasheety czytać umiem i prócz tabelki 10.6 jest nad nią rysunek 10-2, który potwierdza dalej minimalne napięcie stanu wysokiego jako 2V, co ma się nijak do stanu 3V o którym wspominasz.


Poza tym, nawet jeśli układ jest zasilany napięciem 3.3V to nigdy na wyjściu nie osiągnie takiego napięcia, będzie ono pomniejszone o np. spadek na złączach półprzewodników itp.


To dość ciekawe, jednak jak mierzyłem napięcie wyjściowe to różniło się ono nieznacznie od wejściowego. zawsze możesz na takie linie założyć pullupy do 3.3v jeśli Ciebie to męczy.

Ostatnia aktualizacja: 27.06.2015 19:50:28 przez sanjyuubi
[#13] Re: CPLD 5V - jakie opcje?

@Duddie, post #11

HMOS = High Speed CMOS.


HMOS = High SPEED MOS (z innych źródeł Hih Density, short channel MOS), a dokładniej NMOS o dużej wydajności, a nie CMOS.

CMOS: Complementary metal-oxide-semiconductor
HCMOS: High Speed CMOS
HMOS: Depletion-load NMOS, high-performance




Cokolwiek dalej będzie powiedziane, nie zmieni faktu, że MC68000 akceptuje stan wysoki od 2V zgodnie z jego dokumentacją. W technologii HCMOS jest wykonana MC68HC000, MC68EC000 i prawdopodobnie 68SEC000, wymienione procesory się prawie nie grzeją, MC68EC000FN16 był stosowany w Supra Turbo i taktowany 28MHz, a 68SEC000 można nawet podkręcić do 50MHz.
[#14] Re: CPLD 5V - jakie opcje?

@sanjyuubi, post #13

Uparłeś się na ten procesor, a mowa była o układach Amigi. Nieważne zresztą. Napisałem jak jest. A pullupy o których wspominasz już opisałem jako rezystory podciągające, czyli jedną z form translacji napięć. Nie zawsze można je zupełnie dowolnie stosować, bo można niechcący przekroczyć parametry Vih i Vol. Napiszę też jeszcze, że właściwe projektowanie układów wymaga uwzględnienia standardów. Można je olać, licząc się z loterią w działaniu układu. Już nie raz widziałem takie projekty, gdzie układ jednego producenta działa, a drugiego nie.
[#15] Re: CPLD 5V - jakie opcje?

@Duddie, post #14

Tyle, że nie masz pojęcia w jakiej technologii są wykonane układy Amigi i jakie napięcia akceptują wejścia, bo nie ma do nich żadnej dokumentacji.


Można je olać, licząc się z loterią w działaniu układu. Już nie raz widziałem takie projekty, gdzie układ jednego producenta działa, a drugiego nie.


Jens Raczej nie może pozwolić sobie na olewanie takich standardów, a wystarczy spojrzeć np. na kartę ACA1220, gdzie występują tylko dwa bufory LCX245 do konwersji napięć RAM<->procesor, albo na taka ACA500 gdzie jest XC9572XL, procesor 68EC000 i brak konwerterów napięć.
[#16] Re: CPLD 5V - jakie opcje?

@sanjyuubi, post #15

Przeczytaj wątek od początku. Ja nigdzie nie dyskutowałem o technologii układów Amigi. Opisałem jedynie które układy pracują z 5V a które nie. I na co zwrócić uwagę przy projektowaniu, zwłaszcza że jak sam napisałeś, nie mamy pewności co do technologii. Kolega pytał, które CPLD do 5V. Odpowiedziałem. Po czym Ty rozpętałeś burzę, że translacja napięć jest niepotrzebna. Mi chodziło jedynie o to, że nie jest to na 99% uklad TTL, tylko jakiś MOS, a czy to będzie CMOS, HMOS, PMOS, NMOS - nie ma znaczenia.

Ostatnia aktualizacja: 28.06.2015 07:45:49 przez Duddie
[#17] Re: CPLD 5V - jakie opcje?

@Duddie, post #16

W poście nr #6 napisałeś, że układy Amigi i CPU są CMOSowe, nie wiem skąd masz te informacje, bo ja wiem tylko o istnieniu typowo CMOSowych kickstartów OTP, do których trzeba było dolutowywać jakieś drabinki (wspomniał mi kiedyś o tym yaqube, ale nie mogę znaleźć tego maila, więc nie podam szczegółów).

Ja napisałem, że te układy są zgodne z TTL, ale to tylko moje obserwacje, zarówno na układach CPLD Altery jak i Xilinxa nie doświadczyłem problemów i osoby, które otrzymały urządzenia na tych CPLD, też nie zgłaszały problemów. Karty Jensa tylko potwierdzają, że problemów raczej nie ma.

Ostatecznie trzeba najpierw sprawdzić, co się chce robić, przeważnie będziemy się wpinać w szynę procesora, a tam, jak i na chipsecie sygnały sterujące mają przeważnie stan aktywny niski i są podciągane pullupami do 5V, a ponieważ będziemy sterować tylko masą, to może to być wyjście Open-Drain i czy mamy CPLD 3.3V czy 5V nie ma znaczenia.

Można stosować dla pewności konwertery napięć, ale pojawia się pewien problem, bo czasami niektóre sygnały, trzeba włączać w stan wysokiej impedancji, albo muszą być dwukierunkowe, takiego wybiórczego sterowania nie uzyskasz na translatorze napięcia i trzeba kombinować wtedy z każdą linią z osobna.

Jeśli chce się uprzeć na układy typowo 5V, to seria XC95 też takie posiada i są to układy, które nie mają XL na końcu. Niestety w Polsce są na wykończeniu, W Slawmirze można jakieś znaleźć, tylko nie wiem, czy się nie pomylili, bo mają w opisie VDC 3.5V.

Ostatnia aktualizacja: 28.06.2015 15:13:46 przez sanjyuubi
[#18] Re: CPLD 5V - jakie opcje?

@Duddie, post #3

Rozsądnym kompromisem byłoby użycie starszych wersji np. Altery EPM7xxx, która jest całkowicie 5-woltowa, ale niestety droga. MAX II nie tolerują 5V na wejściu (poza dużymi układami np. EPM1270), więc musisz uważać przy projektowaniu układu, żeby napięcie na pinie wejściowym nie przekroczyło 3.3V - użycie translatorów napięć jest najrozsądniejsze, ale czasem nie ma na to miejsca więc kombinacje rezystorów, diod itp.

Seria FLEX 10K (FPGA) obsługuje TTL (5V) lub LVCMOS/LVTTL (3.3V). Myk jest taki, że poziomy napięcia można wybierać per pin w trakcie pisania wsadu - sprawdzałem w Quartusie 9.0 SP2. Układy można tanio (kilka dolarów za sztukę) nabyć od chińczyków, a są dużo potężniejsze niż CPLD.
[#19] Re: CPLD 5V - jakie opcje?

@cahir, post #18

Zgadza się, tyle, że FLEX 10K to taki sam dinozaur jak EPM7000 i do tego bardo drogi i raczej już trudnodstępny. Niestety, nie ma odwrotu od niższych napięć i taniej jest zastosować konwertery do nowszych układów.

Ostatnia aktualizacja: 29.08.2015 13:42:09 przez Duddie
[#20] Re: CPLD 5V - jakie opcje?

@Duddie, post #19

Jak dla mnie stosowanie czegokolwiek, co nie jest dostępne "z półki" w hurtowniach elektronicznych mija się z celem, bo i tak przy produkcyjnej karcie trzeba będzie przeprojektować wszystko od nowa. Nikt do produkcji seryjnej nie będzie kupował układów u Chinola, gdzie zwykle połowa nie działa, albo zapomną przysłać kilku szt, albo jeszcze jakiś niefart się przytrafi. A gdy coś jest nie tak, to chinole zapominają jak się po angielsku gada.
[#21] Re: CPLD 5V - jakie opcje?

@Duddie, post #19

Zgadza się, tyle, że FLEX 10K to taki sam dinozaur jak EPM7000 i do tego bardo drogi i raczej już trudnodstępny.

Dinozaur... zgadza się, aczkolwiek mam kilka kontrargumentów:

Jak dla mnie stosowanie czegokolwiek, co nie jest dostępne "z półki" w hurtowniach elektronicznych mija się z celem, bo i tak przy produkcyjnej karcie trzeba będzie przeprojektować wszystko od nowa.

Patrz wyżej. Znasz coś tańszego niż DigiKey i Mouser?

Nikt do produkcji seryjnej nie będzie kupował układów u Chinola, gdzie zwykle połowa nie działa, albo zapomną przysłać kilku szt, albo jeszcze jakiś niefart się przytrafi. A gdy coś jest nie tak, to chinole zapominają jak się po angielsku gada.

Dotychczas nie miałem takich przykrości na AliExpress pod warunkiem, że przesyłka rejestrowana. Niemniej podzielałbym Twoje wątpliwości przy produkcji seryjnej.
[#22] Re: CPLD 5V - jakie opcje?

@cahir, post #21

Patrz wyżej. Znasz coś tańszego niż DigiKey i Mouser?


To nieco zmienia postać rzeczy, byłem przekonany że już ich nie można kupić . W takim razie może warto się zastanowić nad nimi... choć biorąc pod uwagę, że jest to już leciwa technologia, nie wiadomo jak długo będą w produkcji. Chyba, że Altera ma jakiś dokument to określający.
[#23] Re: CPLD 5V - jakie opcje?

@strim_, post #22

Przeglądając sprzętowe rozwiązania Lotharka natknąłem się na VBXE 2.1 for ATARI XE/XEGS series i zamontowany w nim inny układ FPGA bezpośrednio gadający z 5.0V. Seria ACEX 1K jest nowocześniejsza od FLEX 10K, o którym wspominałem w poście wyżej. Ceny na DigiKey są też sporo niższe. Tabela 13 z dokumentacji potwierdza, że urządzenie jest w stanie współpracować z urządzeniami CMOS. Przy czym jest taka uwaga:

Open-drain output pins on ACEX 1K devices (with a pull-up resistor to the 5.0-V supply) can drive 5.0-V CMOS input pins that require a higher VIH than LVTTL. When the open-drain pin is active, it will drive low. When the pin is inactive, the resistor will pull up the trace to 5.0 V, thereby meeting the CMOS VOH requirement. The open-drain pin will only drive low or tri-state; it will never drive high. The rise time is dependent on the value of the pull-up resistor and load impedance. The IOL current specification should be considered when selecting a pull-up resistor.
[#24] Re: CPLD 5V - jakie opcje?

@cahir, post #23

Każdy układ, który pozwala pozwala na ustalenie wyjścia jako Open-Drain umożliwia to co jest napisane w tej tabelce, a robiły już to stare GALe.

Takie rozwiązanie nie nadaje się do szybkich sygnałów, z powodu wolnego narastania zbocza (układ RC), co trzeba nadrabiać zmniejszaniem rezystancji, przez co zwiększa się płynący prąd podczas aktywnego sygnału niskiego (500 Ohm przy 5V to 10mA). Może to mieć duży wpływ jeśli coś jest sterowane zboczem pozytywnym, dlatego ewentualne opóźnienia, trzeba brać pod uwagę.

W takich przypadkach raczej stosuje się zwykły driver, konwerter na 5V.

Ostatnia aktualizacja: 31.08.2015 14:07:59 przez sanjyuubi
[#25] Re: CPLD 5V - jakie opcje?

@cahir, post #23

To też jest dość ciekawe, ale prawdę mówiąc zdrowy rozsądek dalej podpowiada mi, żeby pchać najnowocześniejsze możliwe FPGA w przyzwoitej cenie, plus konwertery stanów logicznych. W końcu tych linii nie jest aż tak dużo.

To podejście zostało już zastosowane choćby w karcie z3sdram, z powodzeniem.


Ostatnia aktualizacja: 31.08.2015 20:18:37 przez strim_
Na stronie www.PPA.pl, podobnie jak na wielu innych stronach internetowych, wykorzystywane są tzw. cookies (ciasteczka). Służą ona m.in. do tego, aby zalogować się na swoje konto, czy brać udział w ankietach. Ze względu na nowe regulacje prawne jesteśmy zobowiązani do poinformowania Cię o tym w wyraźniejszy niż dotychczas sposób. Dalsze korzystanie z naszej strony bez zmiany ustawień przeglądarki internetowej będzie oznaczać, że zgadzasz się na ich wykorzystywanie.
OK, rozumiem